專任教師
 
寒川誠二
寒川誠二
講座教授
組   別:
電波組
辦 公 室:
CY602
電   話:
03-5712121#54623
信   箱:
seiji.samukawa.e2@nycu.edu.tw
網   站:
研究 主題:
容錯量子計算, 電磁理論
  • 個人簡歷

    寒川誠二(IEEE院士)

    o 學士學位:慶應大學儀器工程學士(日本橫濱),1981年
    o 博士學位:慶應大學儀器工程學博士(日本橫濱),1992年
    o 博士論文題目:《Sub μm Etching of Semiconductors by using Electron Cyclotron Resonance Plasma》

    職業經歷:

    o 1981年起,加入NEC公司,從事超精確電漿蝕刻製程的研究和開發,並晉升為NEC公司微電子實驗室的首席研究員
    o 2000年7月,成為日本仙台東北大學的全職教授,並在流體科學研究所創新能源研究中心工作,同時擔任東北大學先進材料研究所的首席調查員及台灣國立陽明交通大學的外籍特聘教授
    o 2008年獲得新技術開發基金會的Ichimura獎
    o 2009年獲得文部科學技術大臣的科學技術表彰
    o 2010年獲得美國真空學會的等離子體獎
    o 2019年被選為 IEEE NTC 傑出演講者,榮獲東北大學的傑出教授稱號
    o 自2008年起成為日本應用物理學會的院士
    o 自2009年起成為美國真空學會的院士
    o 自2018年起成為美國電機電子工程師學會的院士

  • 經歷與榮譽

    o 從2022年8月至今,國立陽明交通大學電機與電腦工程學院,(全職)講座教授。

        寒川誠二教授是高純度碳化矽粉末和大直徑4H-SiC基板在電動車市場上的研發中心/中性粒子束原子層技術的研發中心主任。

    o 從2000年7月至2022年7月,東北大學流體科學研究所,特聘教授。

        寒川誠二教授是一個研究電漿蝕刻、電漿化學氣相沉積和射束技術在超大規模整合電路和其他元件上的基礎研究的團隊的主任。為了實現無電荷和無輻射損傷的過程,他開發了一個新的中性粒子束生成系統。他發現在脈沖電漿中加速負離子可以有效地產生中性粒子束。使用中性粒子束處理,他成功地展示了次50奈米無損傷閘極電極蝕刻,45奈米鰭式場效電晶體無損傷矽通道蝕刻(2005年),32奈米鰭式場效電晶體超薄閘極介電層形成(2009年),22奈米場效電晶體無損傷低介電層沉積(2009年),金剛石形狀鍺奈米線場效電晶體(2015年)和次7奈米鍺鰭式場效電晶體(2016年)的無損傷鍺蝕刻和氧化,低溫無損傷氧化高性能IGZO薄膜電晶體(2016年),高速AlGaN/GaN高電子遷移率電晶體無損傷AlGaN蝕刻(2016年),以及碳材料(包括奈米碳管、石墨烯和有機分子)的低損傷表面修飾(2010年)用於未來奈米元件。此外,脈沖時間調變電漿還用於高感度CCD(2003年)和MRAM(2007年)的精確蝕刻和沉積生產線中也正在研究。同時,他們還開發了在表面上精確控制電漿、射束和原子操作過程的晶片監測和感測系統。此外,在蝕刻和沉積過程中,還對電漿/真空紫外照射對晶圓表面進行了定性和定量特性的研究。最近,他還研究了基於中性粒子束奈米處理與生物技術相結合的加工技術,即生物奈米處理,用於未來的奈米電子元件,並成功實現了次10奈米直徑和高密度奈米碟(矽、鍺、砷化鎵、氮化銦鎵、石墨烯)陣列結構的製作(2014年)。由於中性束蝕刻過程使得表面無損傷,這些奈米尺度結構的量子效應在室溫下得以展現。
        目前,他正在利用這些奈米碟結構,積極開發"新型量子效應元件",如高轉換效率的矽量子點太陽能電池、高效的熱電轉換元件和高效的全波長量子點LED。自2013年起,他擔任東北大學流體科學研究所創新能源研究中心的主任。自2012年起,他還擔任東北大學先進材料研究高等研究所(AIMR)世界領先國際研究中心計劃(WPI)的首席研究員(PI),自2013年起擔任東北大學材料解決方案中心(MaSC)副主任,自2013年起擔任東北大學先進能源元件核心技術聯盟的主任,自2015年起擔任日本國家高級工業科學技術研究所(AIST)聯合任命研究員,自2014年起擔任國立交通大學(台灣)講座教授,自2018年起擔任上海工程技術大學國際知名教授。
        鑒於他上述卓越的成就,他自2008年起被選為東北大學的"特聘教授"(全職教授中的2%),並分別於2008年、2009年和2018年當選為日本應用物理學會(JSAP)、美國真空學會(AVS)和美國電氣與電子工程師學會(IEEE)的"院士"。

    o 1981年4月至2000年6月,NEC公司。

    1994年7月至2000年6月,LSI基礎研究實驗室,矽系統研究實驗室,負責一個團隊進行基礎研究,研究面向0.1微米以下技術的先進電漿蝕刻過程,該過程建立在無損傷高性能蝕刻技術的基礎上。同時負責開發電漿診斷技術,用於大尺寸基板(超過12英寸)上。在1990年代中期,從次微米尺寸的元件技術轉向次100奈米技術,並伴隨著閘極尺寸的減薄,元件損傷問題成為重要議題。他的主要貢獻是提出了超低電漿電位、電子溫度以及更好的氣體通量均勻性的需求,以減少損傷。他的貢獻是在500MHz(超高頻)輻射天線感應耦合電漿源中實現了這些目標,預示著當今的超高頻電漿源的出現,包括電容耦合的超高頻(VHF)和基於微波的電漿源,這些源對於無損傷電漿處理至關重要。超高頻電漿能夠滿足次0.1微米的高性能閘極電極和鋁電極的圖案化需求。此外,為了實現無損傷和無負載效應之高深寬比接觸孔圖案化,他們在超高頻電漿中開發了新的氣體化學反應(替代PFC的氣體化學反應)。

      1992年7月至1994年6月,LSI基礎研究實驗室,矽系統研究實驗室,擔任助理經理,負責開發用於0.1微米和0.25微米超大規模積體電路(ULSI)技術的無累積電荷損傷蝕刻過程。1992年,他們創新地發明了脈衝時間調制電漿技術(在微秒的脈衝時序下),並在多個電漿蝕刻系統中進行了研究。1990年左右,低壓高密度電漿蝕刻方法是製造金屬氧化物半導體(MOS)LSI元件的主流蝕刻技術,但存在著一些重要問題,包括電漿中的電荷(正離子)在基板表面累積,導致MOS閘極絕緣層破損,以及界面的嚴重退化(界面缺陷和通道遷移率等)。為了解決這些問題,寒川誠二教授對電漿的內部狀態和MOS元件退化機制進行了詳細分析,並將結果應用於發明了一種脈衝時間調制電漿蝕刻技術,該技術使用幾十微秒的脈衝週期。隨後,他們與一家設備製造商合作,將該技術應用於量產系統中,並由日本和國外的LSI製造商進行了生產實踐測試。這種蝕刻技術作為一種量產蝕刻過程已經在全球應用。目前,應用該技術的蝕刻系統已由三家設備製造商推向市場,並已獲得了50%左右的國際市場份額。

      1989年7月至1992年6月,負責開發用於0.5微米和0.35微米超大規模積體電路ULSI)技術的先進ECR電漿源。在與ANELVA公司合作的過程中,他通過優化磁場配置和微波穿透,開發了電子迴旋共振(ECR)電漿源,可以產生低能量、高密度、均勻且準直的離子。通過使用這種蝕刻系統,可以實現高性能的閘極電極和層間介電層(例如聚酰胺薄膜)圖案化。該系統已經在NEC的生產線(山形、相模原)中引入使用。基於這些成果,他於1992年獲得了慶應義塾大學的儀器工程博士學位。博士論文的題目是“使用ECR電漿的次微米半導體蝕刻”。

      1981年4月至1989年6月,擔任2nd LSI Division的製程工程師,負責開發用於消費級LSI元件的電漿蝕刻製程。他在NEC山形啟動了三個先進的半導體生產工廠。

    o 2008年4月至2013年,擔任位於日本東京秋葉原的Bio Electoro-mechanical Autonomous Nano Systems Laboratory (BEANS) 的首席研究員。
    o 1998年6月,作為訪問科學家前往美國新澤西州默里山的貝爾實驗室,盧森特科技公司。
    o 1997年4月至2000年6月,擔任日本神奈川縣橫濱的超高級電子技術協會(ASET)的電漿技術實驗室的首席研究員。


  • 研究主題

    原子層無缺陷、無粗糙度的中性粒子束電漿蝕刻在次世代埃米級尺寸器件中的應用

        在氮化鋁鎵/氮化鎵高電子遷移率電晶體之閘極堀入形成過程中,通常使用乾蝕刻法去除阻障層,以減少閘極下的二維電子氣濃度,從而實現通常關閉的操作。因此,無缺陷的原子層蝕刻對於實現高頻率、高功率和常關式元件操作至關重要。使用HBr中性束電漿進一步研究了更精確的無缺陷的原子層GaN蝕刻。該研究發現,與Cl2中性束電漿相比,HBr中性束電漿能夠實現更精確的原子層蝕刻,因為HBr化學反應可以通過形成較薄且不易揮發的反應層來控制原子層蝕刻的反應性。 
    seiji_1.png

        我們通過無缺陷和無粗糙度的原子層中性粒子束蝕刻製備了一種高電子遷移率的鍺鰭式場效電晶體,並與一般的電漿蝕刻製程的鍺鰭式場效電晶體進行了比較。使用穿透式電子顯微鏡對蝕刻接面的粗糙度和缺陷進行了分析。在使用無缺陷的原子層中性粒子束時,鍺鰭側壁表面的粗糙度比使用電漿蝕刻小了1/3。此外,與電漿蝕刻的電晶體相比,鍺鰭式場效電晶體的電子遷移率提高了1.65倍。對於次臨界擺幅,中性粒子束改善了鍺和閘極介電層之間的界面缺陷密度。我們進一步比較了中性粒子束蝕刻技術和電漿蝕刻技術的關閉漏電流。結果顯示,中性粒子束蝕刻的關閉漏電流約為電漿蝕刻的1/3。這一差異可以歸因於中性粒子束和電漿蝕刻技術在表面粗糙度和缺陷生成方面的不同處理效果。我們的研究結果證明了無缺陷和原子平坦蝕刻表面的重要性,並且顯示了中性粒子束蝕刻技術在製備高性能電晶體方面的潛力。這一發現對於次世代埃米級尺寸元件的開發具有重要意義。
     seiji_2.png

        我們首次展示了使用中性粒子束技術製作具有鍺和矽通道的異質互補式場效電晶體(hCFETs),這種3D通道堆疊整合利用了兩項關鍵技術將鍺通道鍵合到矽晶圓上:1)無缺陷/無粗糙度的中性粒子束減薄處理,以及2)低溫(200°C)的異質層鍵合技術(LT-HBT),該技術通過在室溫下進行表面活化處理來實現。我們開發的中性粒子束減薄技術能夠獲得小於0.39奈米的Rtm值(最大峰谷高度的平均值),小於±0.75奈米的鍺厚度均勻性,以及15.3奈米/分鐘的減薄速率。這些結果表明,我們的中性粒子束技術可以獲得表面原子層均勻且無粗糙度的鍺蝕刻,用於鍵合表面。我們還實施了由兩個矽通道和一個鍺通道組成的多通道結構,以獲得n型和p型FETs之間對稱的性能。成功實現了晶圓尺度的低溫異質層鍵合,從而為異質整合開啟了實現極致元件尺寸縮放的大門。
    seiji_3.png


     

  • 期刊論文

    Google Scholar: 

    https://scholar.google.com/citations?user=_3GxD78AAAAJ&hl=en


    Top 10 Papers for Prof. Seiji Samukawa’s Citation Numbers

    1.  “The 2017 Plasma Roadmap: Low temperature plasma science and technology”, JOURNAL OF PHYSICS D-APPLIED PHYSICS, Vol.50, No.32(2017)pp 323001 (DOI: 10.1088/1361-6463/aa76f5). Citations:550
    2.  “The 2012 Plasma Roadmap”, JOURNAL OF PHYSICS D-APPLIED PHYSICS, Vol.45, No.25 (2012)pp253001 (DOI: 10.1088/0022-3727/45/25/253001). Citations:442
    3.  “Generating high-efficiency neutral beams by using negative ions in an inductively coupled plasma source”, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS , Vol.20, No.5(2002)pp1566-1573(DOI: 10.1116/1.1494820). Citations:150
    4.  “Pulse-time modulated plasma discharge for highly selective, highly anisotropic and charge-free etching”, PLASMA SOURCES SCIENCE & TECHNOLOGY, Vol.5, No.2(1996)pp132-138 (DOI: 10.1088/0963-0252/5/2/004). Citations: 128
    5.  “Ultimate top-down etching processes for future nanoscale devices: Advanced neutral-beam etching”, JAPANESE JOURNAL OF APPLIED PHYSICS, Vol.45, No.4A(2006)pp2395-2407(DOI: 10.1143/JJAP.45.2395). Citations:124
    6.  “Time-modulated electron-cyclotron-resonance plasma discharge for controlling generation of reactive species”, APPLIED PHYSICS LETTERS, Vol.63, No.15(1993) pp2044-2046 (DOI: 10.1063/1.110586). Citations:107
    7.  “Highly selective and highly anisotropic SiO2 etching in pulse-time modulated electron-cyclotron- resonance plasma”, JAPANESE JOURNAL OF APPLIED PHYSICS, Vol.33, No.4B(1994)pp2133- 2138(DOI: 10.1143/JJAP.33.2133). Citations:96
    8.  Pulse-time-modulated electron cyclotron resonance plasma discharge for highly selective, highly anisotropic, and charge-free etching, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, Vol.14, No.6(1996)pp3049-3058(DOI: 10.1116/1.580170). Citations:96
    9.  “Ultrahigh frequency versus inductively coupled chlorine plasmas: Comparisons of Cl and Cl-2 concentrations and electron temperatures measured by trace rare gases optical emission spectroscopy”, JOURNAL OF APPLIED PHYSICS, Vol.84, No.3 (1998)pp1222-1230(DOI: 10.1063/1.368820). Citations:77
    10.   “Pulse-time-modulated electron-cyclotron-resonance plasma etching for highly selective, highly anisotropic, and notch-free polycrystalline silicon patterning”, APPLIED PHYSICS LETTERS, Vol.64, No.25(1994)pp3398-3400 (DOI: 10.1063/1.111290). Citations:77

  • 會議論文

    Google Scholar: 

    https://scholar.google.com/citations?user=_3GxD78AAAAJ&hl=en


    Top 10 Papers for Prof. Seiji Samukawa’s Citation Numbers

    1.  “The 2017 Plasma Roadmap: Low temperature plasma science and technology”, JOURNAL OF PHYSICS D-APPLIED PHYSICS, Vol.50, No.32(2017)pp 323001 (DOI: 10.1088/1361-6463/aa76f5). Citations:550
    2.  “The 2012 Plasma Roadmap”, JOURNAL OF PHYSICS D-APPLIED PHYSICS, Vol.45, No.25 (2012)pp253001 (DOI: 10.1088/0022-3727/45/25/253001). Citations:442
    3.  “Generating high-efficiency neutral beams by using negative ions in an inductively coupled plasma source”, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS , Vol.20, No.5(2002)pp1566-1573(DOI: 10.1116/1.1494820). Citations:150
    4.  “Pulse-time modulated plasma discharge for highly selective, highly anisotropic and charge-free etching”, PLASMA SOURCES SCIENCE & TECHNOLOGY, Vol.5, No.2(1996)pp132-138 (DOI: 10.1088/0963-0252/5/2/004). Citations: 128
    5.  “Ultimate top-down etching processes for future nanoscale devices: Advanced neutral-beam etching”, JAPANESE JOURNAL OF APPLIED PHYSICS, Vol.45, No.4A(2006)pp2395-2407(DOI: 10.1143/JJAP.45.2395). Citations:124
    6.  “Time-modulated electron-cyclotron-resonance plasma discharge for controlling generation of reactive species”, APPLIED PHYSICS LETTERS, Vol.63, No.15(1993) pp2044-2046 (DOI: 10.1063/1.110586). Citations:107
    7.  “Highly selective and highly anisotropic SiO2 etching in pulse-time modulated electron-cyclotron- resonance plasma”, JAPANESE JOURNAL OF APPLIED PHYSICS, Vol.33, No.4B(1994)pp2133- 2138(DOI: 10.1143/JJAP.33.2133). Citations:96
    8.  Pulse-time-modulated electron cyclotron resonance plasma discharge for highly selective, highly anisotropic, and charge-free etching, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, Vol.14, No.6(1996)pp3049-3058(DOI: 10.1116/1.580170). Citations:96
    9.  “Ultrahigh frequency versus inductively coupled chlorine plasmas: Comparisons of Cl and Cl-2 concentrations and electron temperatures measured by trace rare gases optical emission spectroscopy”, JOURNAL OF APPLIED PHYSICS, Vol.84, No.3 (1998)pp1222-1230(DOI: 10.1063/1.368820). Citations:77
    10.   “Pulse-time-modulated electron-cyclotron-resonance plasma etching for highly selective, highly anisotropic, and notch-free polycrystalline silicon patterning”, APPLIED PHYSICS LETTERS, Vol.64, No.25(1994)pp3398-3400 (DOI: 10.1063/1.111290). Citations:77

  • 專書章節
    • 高密度電漿應用技術(Realize社,ISBN4-947655-62-3,1993):合著
    • ULSI裝置製程技術(電子資訊通信學會,ISBN 978-4-88552-274-1,1994):合著
    • 半導體裝置製程中的電荷累積損傷(Realize社,ISBN-10:489808074X,ISBN-13:978-4898080740,1995):合著
    • 先進材料化學(化學刊行會,1996):合著
    • 電子離子束手冊(日刊工業新聞社,ISBN-10:4526042471,ISBN-13:978-4526042478,1997):合著
    • 晶圓表面完整性創建評估技術(Science Forum社,ISBN4-916164-14-8,1998):合著
    • 下世代ULSI製程技術(Realize社,1999):合著
    • 半導體大詞典(工業調查會,ISBN4-7693-7082-2,2000):合著
    • 應用物理手冊(丸善,2001):合著
    • 薄膜製備應用手冊(NTS,ISBN 4-86043-019-0,2003):合著
    • 蝕刻技術的最新動態(ED研究社,ISBN 4-901790-12-9,2002):合著
    • 從基礎學習微波應用技術(微波應用技術研究會,ISBN 978-4-76931-229-1,2004):合著
    • 生物奈米製程-溶液中創建奈米結構的濕式奈米技術推薦(科學論壇社,ISBN 978-4-88231-995-5,2008):合著
    • 微奈米器件的蝕刻技術(科學論壇社,ISBN 978-4-7813-0167-9,2009):合著
    • 微奈米領域的超精密技術(Ohm社,ISBN 978-4-274-21005-1,2011):合著
    • 超高效率太陽能電池和相關材料的前沿(科學論壇社,ISBN 978-4-7813-0310-9,2011):合著
    • 測量與監控技術-化學測量與儀器的最前沿及其應用(科學論壇社,ISBN 978-4-7813-0406-9,2011):合著
    • 在奈米電子學中的絕緣超薄膜技術-成膜技術和膜界面的物性科學(NTS,ISBN 978-4-86469-039-3,2012):合著
    • 新版ULSI裝置・製程技術(電子資訊通信學會,ISBN 978-4-88552-274-1,2013):合著
    • Feature Profile Evolution in Plasma Processing Using On-wafer Monitoring System(Springer, ISBN 978-4-431-54795-2, 2014):Seiji Samukawa
    • Iodine Chemistry and Applications(John Wiley & Sons, ISBN 978-1-118-46629-2, 2015):合著
    • Intelligent Nanosystems for Energy, Information and Biological Technologies(Springer, ISBN 978-4-431-56429-4, 2016):合著
    • 半導體微細圖案-突破微影後的極限技術(株式會社NTS,ISBN 978-4-86043-467-0 C3050,2017):合著
    • Silicon Nanomaterials Sourcebook: Hybrid Materials, Arrays, Networks, and Devices, Volume Two(CRC Press, ISBN 9781498763783 - CAT# K28987, 2017):合著
    • 2020版薄膜製備應用手冊(NTS,ISBN:978-4-86043-631-5 C3058,2020)
    • 超撥水・超撥油・滑液性表面的技術(第2卷)(科學與技術㈱,ISBN:978-4-86428-250-5,2021):合著
    • Semiconductors and Semimetals Vol.106, Damage-free neutral beam etching for GaN micro-LEDs processing (Elsevier, ISSN:0080-8784, DOI:10.1016/bs.sem
    • 電子離子束手冊第4版(學振132委員會,ISBN 978-4-600-00624-2,2021):合著

  • 專  利
    • Seiji Samukawa, US Patent-5013401, Microwave Plasma Etching Method and Apparatus 
    • Seiji Samukawa, US Patent-5366586, Plasma Formation using ECR and Method for Processing Substrate by using the Same. 
    • Seiji Samukawa, US Patent-5401351, RF Plasma Etching Apparatus 
    • Seiji Samukawa, US Patent-5468341, Plasma etching method and therfeor 
    • Seiji Samukawa, Kibatsu Shinohara, Hirobumi Matsumoto, Tsutomu Tsukada and Yukito Nakagawa, US Patent-5565738, Plasma Formation using Spokewise Antenna 
    • Keizo Kinoshita, Seiji Samukawa, US Patent-5744796, Mass spectrometer and radical measuring method 
    • Keizo Kinoshita and Seiji Samukawa, US Patent-5818040, Neutral particle beam irradiation apparatus 
    • Seiji Samukawa, US Patent-5827435, Plasma processing method and equipment used therefor. 
    • Seiji Samukawa, Tsutomu Tsukada, Yukito Nakagawa, Kibatsu Shinohara, and Hiroyuki Ueyama, US Patent-5900699, Plasma Generator with a Shield Interposing Antenna. 
    • Seiji Samukawa, Tsutomu Tsukada, Yukito Nakagawa, Kibatsu Shinohara, Hirofumi Matsumoto, Hiroyuki Ueyama, US Patents-5936352 Plasma processing apparatus for producing plasma at low electron temperatures 
    • Seiji Samukawa, Yukito Nakagawa, Hisaaki Sato, Tsutomu Tsukada, Kibatsu Shinohara and Yasuo Niimura, US Patents-6043608 Plasma processing apparatus 
    • Hiroto Ohtake and Seiji Samukawa, US Patent-6054063 Method for plasma treatment and apparatus for plasma treatment 
    • Seiji Samukawa and Kenichiro Tsuda, US Patent-6177147 Process and apparatus for treating a substrate 
    • Seiji Samukawa, US Patent-6348158 Plasma processing with energy supplied 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-6849857 Beam processing apparatus 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-6858838 Neutral particle beam processing apparatus 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-6861642 Neutral particle beam processing apparatus 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-6861643 Neutral particle beam processing apparatus 
    • Seiji Samukawa, Katsunori Ichiki, Kazuo Yamauchi and Hirokuni Hiyama, US Patents- 6909086 Neutral particle beam processing apparatus 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-6909087 Method of processing a surface of a workpiece 
    • Seiichi Fukuda and Seiji Samukawa, US Patents-7,000,565 Plasma surface treatment system and plasma surface treatment method 
    • Katsunori Ichiki, Akio Shibata, Akira Fukuda, Hirokuni Hiyama, Kazuo Yamauchi and Seiji Samukawa, US Patents-7,034,285 Beam source and beam processing apparatus 
    • Akira Fukuda, Akio Shibata, Hirokuni Hiyama, Katsunori Ichiki, Kazuo Yamauchi and Seiji Samukawa, US Patents-7,078,862 Beam source and beam processing apparatus 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-7,144,520 Etching method and apparatus 
    • Seiji Samukawa, US Patent-7,184,134 Real-time monitoring apparatus for plasma process 
    • Katsunori Ichiki, Kazuo Yamauchi, Hirokuni Hiyama and Seiji Samukawa, US Patents-7,314,574, Etching method and apparatus 
    • Toshiaki Shiraiwa, Tetsuya Tatsumi and Seiji Samukawa, US Patent-7,473,646, Dry etching method and production method of magnetic memory device 
    • Seiji Samukawa, Tadashi Shinmura, and Mitsuru Okigawa, US Patent-7,520,956, On-wafer monitoring system 
    • Jun Hashimoto, Shinji Kawada, Ikuo Kurachi, and Seiji Samukawa, US Patent-7,732,783, Ultraviolet light monitoring system 
    • Toshiaki Shiraiwa, Tetsuya Tatsumi, and Seiji Samukawa, US Patent-7,808,026, Dry etching method and production method of magnetic memory device 
    • Youichi Yatagai, Shinji Kawada, and Seiji Samukawa, US Patent-7,923,268, Method of measuring resistivity of sidewall of contact hole 
    • Tomohiko Tatsumi and Seiji Samukawa, US Patent-8,427,168, Plasma monitoring method 
    • Seiji Samukawa, Shigeo Yasuhara, Shingo Kadomura, Tsutomu Shimayama, Hisashi Yano, Kunitoshi Tajima, Noriaki Matsunaga, and Masaki Yoshimaru, US Patent-8,828,886, Low dielectric constant insulating film and method for forming the same 
    • Seiji Samukawa, Kohei Ono, Takuya Iwasaki; US Patent-9,620,338 System, method, and program for predicting processing shape by plasma process 
    • Tomohiko Tatsumi and Seiji Samukawa, US Patent-9,412,567 Plasma monitoring method and plasma monitoring system 
    • Xun Gu and Seiji Samukawa, US Patent-9,096,937 Method for etching film having transition metal 
    • Tomohiko Tatsumi and Seiji Samukawa, US Patent-9,005,461 Plasma monitoring method and plasma monitoring system 
    • Kenta Sugawara, Seiji Samukawa and Daisuke Ohori, US Patent-11488833, Method of Manufacturing Semiconductor Devices.